summaryrefslogtreecommitdiff
path: root/test/Set1Spec.scala
diff options
context:
space:
mode:
Diffstat (limited to 'test/Set1Spec.scala')
-rw-r--r--test/Set1Spec.scala6
1 files changed, 6 insertions, 0 deletions
diff --git a/test/Set1Spec.scala b/test/Set1Spec.scala
index b017803..67eadfc 100644
--- a/test/Set1Spec.scala
+++ b/test/Set1Spec.scala
@@ -131,6 +131,12 @@ class Set1Spec extends IxeeSpec {
Challenge7.run mustBe Challenge6.expectation
}
+
+ "Challenge 8: Detect ECB" in {
+
+ Challenge8.run mustBe 133
+
+ }
}
}